Notices

  • Lab marks entered

    Posted by Oliver Diessel Friday 26 October 2018, 03:54:20 PM.

    Please check the lab marks we have recorded for you using the graph icon at the bottom of the course website menu, or the Give command 3222|9222 classrun -sturec from the Unix prompt in your CSE home account.

    The total lab score is recorded as a mark out of 24, shown as the field "Labs".

    Please let me know of any discrepancies. Thanks.

  • Finishing labs

    Posted by Oliver Diessel Thursday 18 October 2018, 03:54:05 PM.

    Please ensure you have your work marked off by the end of Thursday 25 October. Marks will be entered into SMS on 26 October for you to check - please await further email in this regard.

    Please also complete the myExperience course survey . In particular, in the written comments, please let me know why you did/didn't attend lectures if you usually did/didn't do so .

  • Week 12 lecture plan

    Posted by Oliver Diessel Thursday 11 October 2018, 03:52:55 PM.

    Next week is the final week of lectures for COMP3222/9222 :(

    I have a few slides to wrap up the course and preview the final exam arrangements, which I propose going over on Thursday at 1pm in the usual place. Please come along if you can make it.

    I have been asked to use Tuesday to present another design example from go to whoa. For those of you who are interested and able to make it, we shall meet at 10am next Tuesday to work through the following problem, which is adapted from Katz, P7.29:

    You are to develop a state diagram and VHDL description for a washing machine controller. The machine starts when a coin is deposited. It then sequences through the following stages: soak, wash, rinse and spin. There is a “double wash” switch, which, if turned on, causes a second wash and rinse to occur after the first wash and rinse have been completed. There is one timer – you may assume that each stage should take the same amount of time. The timer begins ticking as soon as the coin is deposited, generates a T signal at the end of the time period, and then resets itself and starts again. You are not required to design the timer – it is external to your controller. If the lid is raised during the spin cycle, the machine stops spinning until the lid is closed. You may assume that the timer suspends ticking while the lid is raised. Identify your inputs and outputs and draw a state diagram that implements this finite state machine. Include an asynchronous reset that forces the machine back into the initial state. Describe the finite state machine using behavioural VHDL.

Upcoming Due Dates

There is nothing due!

Back to top

COMP3222/COMP9222 18s2 (Digital Circuits and Systems) is powered by WebCMS3
CRICOS Provider No. 00098G